The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Mar 28th, 2024, 2:50pm
Pages: 1
Send Topic Print
how to override the parameter of verilog-a module with array parameter (Read 1843 times)
davidshw
Junior Member
**
Offline



Posts: 12
China
how to override the parameter of verilog-a module with array parameter
Dec 21st, 2017, 2:35am
 
if a verilog-a module has an array parameter, such as

moduel test (A,B)
parameter integer p1[1:4]='{1,2,3,4} ;
analog begin
... ...
end
endmoudle

when instantiating this module in hspice or spectre, how to override the array parameter?
xdut  a b test  p1=???
Back to top
 
 
View Profile   IP Logged
Andrew Beckett
Senior Fellow
******
Offline

Life, don't talk to
me about Life...

Posts: 1742
Bracknell, UK
Re: how to override the parameter of verilog-a module with array parameter
Reply #1 - Dec 21st, 2017, 7:26am
 
Assuming you've corrected the spelling mistakes in the VerilogA module, in spectre syntax (for spectre as the simulator) it would be:

xdut (a b) test p1=[5 6 7 8]

Regards,

Andrew.
Back to top
 
 
View Profile WWW   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.