The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Mar 28th, 2024, 9:47pm
Pages: 1
Send Topic Print
Generating Verilog netlist from Schematic in IC61 using NC-Verilog (Read 1046 times)
ic_engr
Senior Member
****
Offline



Posts: 135

Generating Verilog netlist from Schematic in IC61 using NC-Verilog
Jun 16th, 2016, 9:23am
 
Hello

I am trying to generate Verilog netlist from schematic in IC 6.1 using NC-Verilog.

I am getting the following errors:

*Error* evalalias: a macro must be defined before its use - (hnlSetOutputVars)

In the Netlist Set-up I am setting stop view as "symbol" since I dont want it to netlist transistors.

Any idea what may be causing this.

Regards
ic_engr
Back to top
 
 
View Profile   IP Logged
Andrew Beckett
Senior Fellow
******
Offline

Life, don't talk to
me about Life...

Posts: 1742
Bracknell, UK
Re: Generating Verilog netlist from Schematic in IC61 using NC-Verilog
Reply #1 - Dec 29th, 2016, 2:07am
 
This was a bug which was fixed in IC617, and happened if you used ADE first and then the Verilog netlister later within the same session. See https://support.cadence.com/apex/ArticleAttachmentPortal?id=a1Od0000005xHB5EAM&p...

Regards,

Andrew
Back to top
 
 
View Profile WWW   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.