The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Apr 24th, 2024, 5:42pm
Pages: 1
Send Topic Print
Wreal (Read 4018 times)
Adam_N
New Member
*
Offline



Posts: 1
Germany
Wreal
Nov 25th, 2015, 8:43am
 
Hi,
I'm new here and in Verilog-AMS modelling with data type wreal.

I propose to simulate a VHDL file with a few Verilog-AMS models (ADC, DAC and a plant transfer function) in irun environment.

1. Can the function @(cross(V(clk)-vth, +1)) be solved by irun  
   simulator?
   If not, is there any simpler way to model behavior of a 10b ADC
   and DAC?
2. How looks like the Verilog_AMS model (with wreal data type) for a
   second order transfer function, sampled by Fs, like this
   H(s)=(s^2+ωz/Qz s +ωz^2)/(s^2+ωp/Qp s +ωp^2)

Thanks a lot in advance!

Cheers!
Adam_N
Back to top
 
 
View Profile   IP Logged
AMS_ei
Community Member
***
Offline



Posts: 67

Re: Wreal
Reply #1 - Jul 30th, 2016, 5:20am
 
Hi,

wreal is a mixed signal variable. It is discrete in time and continuous in value. Hence, it uses digital solver to avoid convergence issues.

As it is uses event solver, hence it cannot be used in analog block. You have to use adsdelta () function to sample from analog value and then assign it to the wreal variable.

Hope this helps.

Thank you.
Back to top
 
 
View Profile   IP Logged
Ken Kundert
Global Moderator
*****
Offline



Posts: 2384
Silicon Valley
Re: Wreal
Reply #2 - Aug 2nd, 2016, 4:56am
 
For an example wreal dac, take a look at http://www.designers-guide.org/Books/dg-vams/ch4/ch4-listing09.tgz

-Ken
Back to top
 
 
View Profile WWW   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.