The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Apr 20th, 2024, 3:54am
Pages: 1
Send Topic Print
How to create high impedance state in ams (Read 2364 times)
nagamlan
New Member
*
Offline



Posts: 1

How to create high impedance state in ams
Jul 02nd, 2015, 2:22pm
 
Hi
I am trying to model a tristate inverter in Verilog AMS. I am not finding correct syntax to set the output node to high impedance state. Actually I am looking the alternative syntax for verilog HDL e.g.
assign out = (enable?1:1'bz);

Thanks in advance

Amlan
Back to top
 
 
View Profile   IP Logged
boe
Community Fellow
*****
Offline



Posts: 615

Re: How to create high impedance state in ams
Reply #1 - Jul 3rd, 2015, 7:33am
 
Amlan,
Verilog-AMS is a super-set of digital Verilog, so the different implementation possible in Verilog-D should all also work in Verilog-AMS.
- B O E
Back to top
 
 
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.