The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Mar 29th, 2024, 7:40am
Pages: 1
Send Topic Print
Passing bit width in module parameter instance definition in nc-verilog (Read 5000 times)
SG
New Member
*
Offline



Posts: 1

Passing bit width in module parameter instance definition in nc-verilog
Feb 04th, 2009, 10:33am
 
Hi,

I have been using verilog-xl so far and have got my code compiled in the format where I use the same module with different bit-widths and get it compiled.

For eg,
quantizer #(32,16) quant1(out,in)

I now need to generate some annotation files using nc-verilog and hence, when I compile the same in it, it gives me an error, with the "#(32,16)" part: Too many module instance parameter definitions.

Is it possible to make nc-verilog read the above statement without having several copies of the same module in my code ?

Thanks for you help,
Shubh.
Back to top
 
 
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.