The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Modeling >> Behavioral Models >> $debug does not work
https://designers-guide.org/forum/YaBB.pl?num=1506706871

Message started by jjnkxb on Sep 29th, 2017, 10:41am

Title: $debug does not work
Post by jjnkxb on Sep 29th, 2017, 10:41am

I am trying to print the internal variables defined in my Verilog A model by $debug or $strobe. It works pretty well with MMSIM 121, but when I switch to MMSIM 151, it no longer prints those information. Any thought on this will be helpful.

Thanks.
Best,
Kai

Title: Re: $debug does not work
Post by Geoffrey_Coram on Oct 2nd, 2017, 6:13am

Do you have (* compact_module *) or any other special attributes in the Verilog-A code?

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.