The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Analog Verification >> Analog Functional Verification >> Issue with Cadence analog value fetch functions
https://designers-guide.org/forum/YaBB.pl?num=1505999936

Message started by sgh2050 on Sep 21st, 2017, 6:18am

Title: Issue with Cadence analog value fetch functions
Post by sgh2050 on Sep 21st, 2017, 6:18am

Hi All,

Cadence provides following analog value fetch functions
$cds_analog_is_valid()
$cds_get_analog_value()

I have these functions in a SystemVerilog module.

I am using $cds_analog_is_valid  first to check a signal is a analog signal and then $cds_get_analog_value to get it as real number. When i use these functions I get the error message.


ncsim: *E, MSSYSTF ..... User Defined system task or function ($cds_analog_is_valid) registered during elaboration and used within the simulation has not been registered during simulation

ncsim: *E, MSSYSTF ..... User Defined system task or function ($cds_get_analog_value) registered during elaboration and used within the simulation has not been registered during simulation


Let me know if you have faced similar issue and how you solved it.
I guess Cadence VPI routines with these functions is not getting loaded. Is there a way, I can load these these using -loadvpi *

BR & TIA

Title: Re: Issue with Cadence analog value fetch functions
Post by Andrew Beckett on Dec 21st, 2017, 7:43am

You should not need to use -loadvpi. I suspect you're probably using an old version of the simulator maybe? What version are you using? (what does "irun -version" return).

Regards,

Andrew.

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.