The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Analog Verification >> Analog Functional Verification >> Verification of AMS based Models
https://designers-guide.org/forum/YaBB.pl?num=1483311630

Message started by subtr on Jan 1st, 2017, 3:00pm

Title: Verification of AMS based Models
Post by subtr on Jan 1st, 2017, 3:00pm

Hi,

This is a really basic question. I have experience working with simple VerilogA models for which I have created symbols and instantiated in the schematic test bench in order to perform a verification. Now that going through more examples, I find that there are codes written for test benches as which instantiate the model DUT. In the case of verilog, I have done some basic command line based simulations using similar TB and DUT codes. Now that test bench is an AMS code and not a schematic, how do I go about doing the verification using the TB coded using AMS? Would I have to resort to some other methodology than schematic based verification?  :-/

Title: Re: Verification of AMS based Models
Post by Andrew Beckett on Jan 2nd, 2017, 1:30pm

You can do whatever you like - it doesn't matter if the top level is a schematic or it's a Verilog, Verilog-AMS, SystemVerilog testbench. Similarly you can do your verification from the ADE world or from command line (e.g. with runams) - it's up to you.

Generally speaking you'll want to be checking your models by using pin-equivalent models (both the model and the real circuit have the same pins), and then you can use the same test bench - you might do this using a tool such as amsDmv (which can be run using an ADE XL license) or using ADE XL. Or you might do it from the command line. One of the benefits of amsDmv is that it can do the results comparison for you, with an appropriate amount of slack, or cope with the fact that signals might be analog in one simulation and digital in the other.

Maybe I've misunderstood your question though.

Regards,

Andrew.

Title: Re: Verification of AMS based Models
Post by subtr on Jan 2nd, 2017, 7:39pm

Hi Andrew,

Actually I wanted to know if there is any document available for the methodology. What I mean by methodology is a document which gives some insight regarding. I would like to see an example. I'm quite sure that whatever I'm asking for would mostly be there in the cadence help. I just do not know what to search for regarding how to simulate these. The question would be similar to knowing the concept of connect rules but not knowing the name was connect rules or how it's implemented in the tool. In fact 617 says "connect rules not found in your AMS installation" due to which I'm running in 615. It is one of the many things I do not know. Thank you.

Regards
Subtr

Title: Re: Verification of AMS based Models
Post by Andrew Beckett on Jan 3rd, 2017, 5:36am

I would start by invoking `cds_root virtuoso`/tools/bin/cdnshelp (or using the Help menus from within Virtuoso) and then navigating to AMS Environment -> Virtuoso AMS Design Environment User Guide. The first chapter "Getting started with AMS Designer" will give you a tutorial.

The connect modules are defined in the INCISIVE release, not the IC release; typically you would have something like this in your cds.lib :

-- Cadence built-in Connect Rules and Connect Modules
SOFTINCLUDE $AMSHOME/tools/affirma_ams/etc/connect_lib/cds.lib

where $AMSHOME is a UNIX env var pointing at your INCISIVE installation. The fact that they are missing in IC617 is a bit strange - I can't really see why that would be dependent upon the IC version.

In terms of verification strategies, I would start by looking at AMS Environment -> AMS Design and Model Validation User Guide (this is the manual for amsDmv). There are also numerous tutorials in <ICinstDir>/tools/dfII/samples/amsDmv - but getting AMS in ADE working first is a key first step, so I'd start with the basic AMS tutorial above (the database for this is under <ICinstDir>/tools/dfII/samples/tutorials/AMS )

Regards,

Andrew.

Title: Re: Verification of AMS based Models
Post by subtr on Jan 3rd, 2017, 12:20pm

The only variable settings in the cshrc different for 617 from 615 are these commented lines :

The commented lines are the ones used for 617.

1) setenv MMSIM_HOME /usr/local/apps/cadence/spectre/mmsim12
#setenv MMSIM_HOME /usr/local/apps/cadence/spectre/mmsim151

2) setenv XPEDION_CADENCE_VERSION 615. This line is not commented for 617.

3)setenv CDSHOME /usr/local/apps/cadence/ic615
#setenv CDSHOME /usr/local/apps/cadence/ic617

4) #setenv CDS_INST_DIR /usr/local/apps/cadence/ic617
setenv CDS_INST_DIR /usr/local/apps/cadence/ic615

The 617 ADE-L does not let me choose the connect rules. I'm not sure if the above are any relevant information. But in case something obviously wrong strikes you, I would like to know. Thank you very for the details regarding the Cadence Help. I shall try to gain more insights by reading them.

Title: Re: Verification of AMS based Models
Post by Andrew Beckett on Jan 3rd, 2017, 11:10pm

What do you mean "does not let me choose the connect rules"? Is the menu there? Does an error occur when you do this?

Regards,

Andrew.

Title: Re: Verification of AMS based Models
Post by subtr on Jan 4th, 2017, 10:58am

Dear Andrew,

I go to ADE L. Click the Connect Rules from menu after selecting AMS Simulator. I just get the pop up window telling

1) "connect rules not found in your AMS installation"

The only link I could find online regarding connect rules was :
https://community.cadence.com/cadence_technology_forums/f/38/t/2757
Though I am unsure as to whether I should be running that script for any reason.

2) In one of the other posts(http://www.designers-guide.org/Forum/YaBB.pl?num=1483427753), I had problem with the incisive version which you have asked to change. Do you think I need to seek help from the support team for this or is there any way to do it by myself. I'm asking because even if 617 lets me run the AMS simulation, I would be still bound by the same incisive version, right?

Typing ls $AMSHOME/..
IUS583P3  IUS82  current

which I assume means new IUS has to be installed.

Title: Re: Verification of AMS based Models
Post by Andrew Beckett on Jan 5th, 2017, 5:06am

Yes, looking through the code, this is also because you have too old an INCISIVE version. This message is related to the new "IE" (interface element) form (actually introduced during later IC616 versions) - it seems that this popup appears if the file doesn't exist in the connectLib which indexes the available connect modules (for the IE form) - this is called connectRules_newIE.il and appears to be in al INCISIVE releases since INCISIV111 (I didn't check earlier versions, but I'm sure it's not in anything as old as the version  you have).

You shouldn't need customer support to do an installation - presumably somebody in your organisation knows how to do this - it's normally a matter of going to http://downloads.cadence.com and downloading InstallScape and installing using that. However, you'd need to understand how things have been organised where you are to make sure you fit in with the way things are set up there.

Regards,

Andrew.

Title: Re: Verification of AMS based Models
Post by subtr on Jan 5th, 2017, 3:10pm

Hi Andrew,
The whole issue is resolved. After searching, I found that incisive has a separate path parallel to IUS hierarchy which had the latest version. The $AMSHOME had to be redefined and cshrc altered. The 617 started working and the connect rules issue is gone. Fundamentally incisiv being a parallel folder kept it hidden from view. Thanks a lot for the advice. It really helped. :)

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.